Lesson 14: Memories in Verilog

Memories are digital storage elements that can store data and information in digital circuits. In Verilog, you can use reg variables to implement memory.

 

RAM Memories

 

 

However, ROM circuit structures are generally much denser than arbitrary gate-based circuits, since each ROM cell needs at most one transistor. Indeed, for a complex combinational function with multiple outputs, it may be better to use a ROM to implement the function than a gate-based circuit. For example, a ROM might be a good candidate for the next-state logic or the output logic of a complex finite-state machine.